ebooksgratis.com

See also ebooksgratis.com: no banners, no cookies, totally FREE.

CLASSICISTRANIERI HOME PAGE - YOUTUBE CHANNEL
Privacy Policy Cookie Policy Terms and Conditions
Common Power Format - Wikipedia, the free encyclopedia

Common Power Format

From Wikipedia, the free encyclopedia

The Si2 Common Power Format, or CPF is a file format for specifying power-saving techniques early in the design process. In the design of integrated circuits, saving power is a primary goal, and designers are forced to use sophisticated techniques such as clock gating, multi-voltage logic, and turning off the power entirely to inactive blocks. These techniques require a consistent implementation in the design steps of logic design, implementation, and verification. For example, if multiple different power supplies are used, then logic synthesis must insert level shifters, place and route must deal with them correctly, and other tools such as static timing analysis and formal verification must understand these components. As power became an increasingly pressing concern, each tool independently added the features needed. Although this made it possible to build low power flows, it was difficult and error prone since the same information needed to be specified several times, in several formats, to many different tools. CPF was created as a common format that many tools can use to specify power-specific data, so that power intent only need be entered once and can be used consistently by all tools. The aim of CPF is to support an automated, power-aware design infrastructure.

Associated with CPF is the Power Forward Initiative (PFI), a group of compnanies that collaborate to drive low-power design methodology and have contributed to the development of the CPF v1.0 specification. PFI membership spans EDA, IP, library, foundry fables, ASIC, IDM, and equipment companies. In March of 2007, CPF v1.0 was contributed to the Silicon Integration Initiative (Si2) where it was ratified by Si2’s Low Power Coalition (LPC) as a Si2 standard. The LPC controls the ongoing evolution of the CPF v1.0 standard.

Contents

[edit] Contents

Constructs expressing power domains and their power supplies:

  • Logical design: hierarchical modules can be specified as belonging to specific power supply domains
  • Physical design: explicit power/ground nets and connectivity can be specified per cell or block.
  • Analysis: different timing library data for cases where the same cell is used in different power domains

Power control logic

  • Specification of level shifter logic - special cells needed when signals traverse between blocks of different supply voltage.
  • Specification of isolation logic - what special logic is needed for signals that traverse between blocks that can be powered up and down independently.
  • Specification of state-retention logic - when blocks are switched off entirely, how is the state retained?
  • Specification of switch logic and control signals - how are blocks switched on and off?

Definition and verification of power modes (standby, sleep, etc.)

  • Mode definitions
  • Mode transition expressions

[edit] History and controversy

Cadence Design Systems designed the early versions of CPF[1], then contributed it to Si2. This was followed shortly by an alternative effort, the Unified Power Format or UPF, proposed as an IEEE standard as opposed to an Si2 standard. UPF has been driven mainly by Synopsys, Mentor Graphics and Magma. The technical differences between the two formats are relatively minor, but the political considerations are harder to overcome[2][3]. Not surprisingly, the Cadence Low-Power Solution supports Si2’s CPF and offered the first complete flow, whereas the Synopsys, Mentor Graphics and Magma offerings all support UPF.

An attempt at convergence is taking place in the IEEE P1801 standards working group, providing a migration path (superset format) for designs that are written in both of the initial formats.

[edit] References

  1. ^ Chi-Ping Hsu, Pushing Power Forward with a Common Power Format - The Process of Getting it Right, EETimes, 5 Nov 2006
  2. ^ Goering, Richard, IC power standards convergence falters, EETimes, 21 March 2007
  3. ^ Goering, Richard, IEEE's patent policy fails to quell EDA standards row, EEtimes, 30 April 2007.

[edit] External links


aa - ab - af - ak - als - am - an - ang - ar - arc - as - ast - av - ay - az - ba - bar - bat_smg - bcl - be - be_x_old - bg - bh - bi - bm - bn - bo - bpy - br - bs - bug - bxr - ca - cbk_zam - cdo - ce - ceb - ch - cho - chr - chy - co - cr - crh - cs - csb - cu - cv - cy - da - de - diq - dsb - dv - dz - ee - el - eml - en - eo - es - et - eu - ext - fa - ff - fi - fiu_vro - fj - fo - fr - frp - fur - fy - ga - gan - gd - gl - glk - gn - got - gu - gv - ha - hak - haw - he - hi - hif - ho - hr - hsb - ht - hu - hy - hz - ia - id - ie - ig - ii - ik - ilo - io - is - it - iu - ja - jbo - jv - ka - kaa - kab - kg - ki - kj - kk - kl - km - kn - ko - kr - ks - ksh - ku - kv - kw - ky - la - lad - lb - lbe - lg - li - lij - lmo - ln - lo - lt - lv - map_bms - mdf - mg - mh - mi - mk - ml - mn - mo - mr - mt - mus - my - myv - mzn - na - nah - nap - nds - nds_nl - ne - new - ng - nl - nn - no - nov - nrm - nv - ny - oc - om - or - os - pa - pag - pam - pap - pdc - pi - pih - pl - pms - ps - pt - qu - quality - rm - rmy - rn - ro - roa_rup - roa_tara - ru - rw - sa - sah - sc - scn - sco - sd - se - sg - sh - si - simple - sk - sl - sm - sn - so - sr - srn - ss - st - stq - su - sv - sw - szl - ta - te - tet - tg - th - ti - tk - tl - tlh - tn - to - tpi - tr - ts - tt - tum - tw - ty - udm - ug - uk - ur - uz - ve - vec - vi - vls - vo - wa - war - wo - wuu - xal - xh - yi - yo - za - zea - zh - zh_classical - zh_min_nan - zh_yue - zu -